Электронная библиотека диссертаций и авторефератов России
dslib.net
Библиотека диссертаций
Навигация
Каталог диссертаций России
Англоязычные диссертации
Диссертации бесплатно
Предстоящие защиты
Рецензии на автореферат
Отчисления авторам
Мой кабинет
Заказы: забрать, оплатить
Мой личный счет
Мой профиль
Мой авторский профиль
Подписки на рассылки



расширенный поиск

Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Лосев Владимир Вячеславович

Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением
<
Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением
>

Диссертация - 480 руб., доставка 10 минут, круглосуточно, без выходных и праздников

Автореферат - бесплатно, доставка 10 минут, круглосуточно, без выходных и праздников

Лосев Владимир Вячеславович. Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением: диссертация ... доктора технических наук: 05.27.01 / Лосев Владимир Вячеславович;[Место защиты: Национальный исследовательский университет «МИЭТ»].- Москва, 2014.- 237 с.

Содержание к диссертации

Введение

Глава 1. Анализ традиционных способов снижения потребляемой мощности

1.1. Источники энергопотребления в КМОП СБИС 16

1.2. Методы снижения потребляемой мощности 24

1.2.1. Схемотехнический уровень 25

1.2.2 Логический уровень 29

1.3 Выводы по разделу. 45

Глава 2. Модель и свойства термодинамически обратимого логического вентиля

2.1 Требования к термодинамически обратимому обобщенному вентилю 48

2.2. Информационная энтропия и произведенная информация 51

2.3. Термодинамика обратимого вентиля 54

2.4. Термодинамика и теория информации 58

2.5 Основные положения характеризующие энергетику процесса производства информации

2.6. Запись и стирание информации 62

2.7. Логические операции 66

2.8. Реверсивная логика 69

2.9. Метод VQ-диаграмм 75

2.10. Выводы по разделу. 77

Глава 3. Анализ и систематизация методов построения электронных адиабатических устройств производства информации

3.1. Основные требования, предъявляемые к электронной адиабатической 83 логике

3.2. Асимптотически бездиссипативная логика 86

3.3. Квазиадиабатические логические вентили статического типа 89

3.4. Квазиадиабатические логические вентили динамического типа 93

3.5. Классификация адиабатических базовых логических вентилей 110

3.6. Квазиадиабатические источники питания (драйверы шин питания) 113

3.7. Классификация адиабатических драйверов шин питания 118

3.8. Выводы по разделу. 120

Глава 4. Элементная база квазиадиабатических термодинамически обратимых устройств обработки информации .

4.1. Исходные положения 124

4.2. Компьютерное моделирование перспективных вариантов 125

квазиадиабатических вентилей.

4.3. Методы улучшения характеристик квазиадиабатических вентилей 133

4.4 Квазиадиабатический динамический базовый вентиль на основе п-канальных МОП-транзисторов

4.4.1 Принцип действия вентиля КАДЛ-п 138

4.4.2 Энергетическая эффективность вентиля КАДЛ-п 142

4.4.3 Компьютерное моделирование цепи КАДЛ-п вентилей 145

4.5 Выводы по разделу 147

Глава 5. Асимптотически адиабатические базовые логические вентили .

5.1 Асимптотически адиабатическая логика с коллапсирующими и 150

расщепленными импульсами питания

5.2 Компьютерное моделирование базовых логических вентилей 1п-1р 152

5.3 Закономерности энергопотребления и методы совершенствования 153

характеристик логики 1п-1р

5.4 Выводы по разделу 161

Глава 6. Адиабатические драйверы шин питания соступенчатым перезарядом нагрузочной емкости .

6.1 Способы и методы построения 162

6.2 Управление ключами 165

6.3 Входные емкости ключей (площадь ключей на кристалле) 165

6.4 Энергетическая эффективность драйвера 168

6.5 Зависимость основных характеристик драйвера от тактовой частоты и свойств ключей

6.6 Результаты компьютерного моделирования 174

6.7 Выводы по разделу 181

Глава 7. Резонансные адиабатические драйверы шин питания .

7.1 Импульсная подкачка энергии в LC-контур 182

7.2 Четырехфазный адиабатический драйвер на основе кольцевого генератора

7.2.1 Методика проектирования драйвера 196

7.2.2 Функциональная идеализированная модель системы авторегулирования подкачки мощности

7.2.3 Поведенческое моделирование четырехфазного адиабатического драйвера

7.3 Выводы по разделу 206

Заключение 207

Список использованных источников

Введение к работе

Актуальность: Повышение энергоэффективности электронных устройств является одной из приоритетных задач современной микроэлектроники. На текущий момент потребляемая мощность является сдерживающим фактором развития, как высокопроизводительных микроэлектронных устройств, так и мобильных устройств с автономным питанием. Несмотря на интенсивное применение традиционных методов снижения потребляемой мощности проблема энергопотребления является доминирующей. Так, например, по прогнозам международной ассоциации производителей полупроводников (ITRS) энергия, приходящаяся на одну логическую операцию, должна будет составлять менее 1 аДж уже к 2020-м годам. Следовательно, закон Мура, которому подчиняется развитие микроэлектроники, может быть нарушен раньше 2020 года, а после 2030 годов наступит эра квантовых процессоров, для развития которых должны быть сформулированы новые закономерности. Исходя из этого, можно утверждать, что применение традиционных конструктивно-технологических методов снижения потребляемой мощности исчерпает себя примерно к 2030-м годам. Таким образом, ограничение развития цифровых микроэлектронных устройств обусловлено тремя основными причинами: 1) повышение степени интеграции цифровых БИС и плотности упаковки их элементов ограничивается возможностями теплоотвода, стоимость которого становится превалирующей, а возможности приближаются к теоретическому пределу; 2) в портативной электронной аппаратуре, работающей от автономных источников питания (универсальных и специализированных микропроцессорных системах, бортовых и мобильных телекоммуникационных устройствах, имплантируемых кардиостимуляторах и системах идентификации, бытовой электронной аппаратуре), существует проблема альтернативы между приемлемым сроком работы батарей или аккумуляторов, а также их весом и габаритами; 3) охрана окружающей среды требует снижения нагрузки на системы кондиционирования и вентиляции, что, по-видимому, в ближайшем будущем приведет к установлению 15-амперного стандарта на рабочих станциях.

Одним из приоритетных направлений современной микро- и наноэлектроники является проектирование сверхмикромощных устройств производства и обработки информации (Low Power Design – LPD), охватывающее широкий круг методов снижения мощности, потребляемой интегральными схемами от источников питания. Методы LPD включают совершенствование технологии (снижение паразитных емкостей за счет уменьшения размеров и снижение питающих напряжений за счет уменьшения пороговых напряжений), оптимизацию топологических размеров, разработку энергетически эффективной архитектуры, создание новых активных элементов, а также использование новых принципов обработки (производства) информации (адиабатическая или термодинамически обратимая логика).

Последнее и наименее исследованное направление основано на возможности возврата в систему энергии, затраченной на производство информации, и ее повторного использования для последующих вычислений. Актуальность этого направления определяется чрезвычайно высокими предельными возможностями снижения энергопотребления. Практическая реализация адиабатических устройств производства информации требует создания не только соответствующей элементной базы (адиабатических базовых логических вентилей), но и адиабатических источников питания. Исследованию методов решения этих задач посвящена настоящая диссертация.

Следовательно, актуальность темы диссертационной работы обусловлена необходимостью разработки научных основ построения и проектирования интегральных цифровых устройств обработки информации на основе принципа термодинамической обратимости.

Цель работы состоит в разработке научных основ создания элементной базы с предельно низким энергопотреблением на основе принципа термодинамической обратимости для построения интегральных цифровых устройств обработки информации, выполненных по традиционной полупроводниковой технологии.

Задачи исследования: Для достижения поставленной цели необходимо решить следующие задачи:

  1. проанализировать и систематизировать методы построения адиабатических устройств обработки информации и источников питания на современном технологическом уровне;

  2. разработать классификацию методов построения адиабатических логических вентилей, источников питания, а также способов авторегулировки мощности подкачки и выявить наиболее перспективные варианты;

  3. разработать методики оценки энергетической эффективности адиабатических логических вентилей и источников питания, а также методики определения их параметров;

  4. установить и обосновать закономерности энергопотребления логических вентилей и источников питания;

  5. исследовать схемотехнические методы совершенствования характеристик адиабатических логических вентилей;

  6. разработать практические схемы адиабатических источников питания, включающие систему авторегулирования мощности подкачки;

  7. исследовать характеристики адиабатических вентилей и адиабатических источников питания методами компьютерного моделирования;

  8. подтвердить закономерности энергопотребления логических вентилей методом параметрической идентификации;

  9. разработать рекомендации по использованию адиабатических логических вентилей и источников питания при проектировании устройств обработки информации.

Научная новизна работы состоит в следующем:

1. Проведена классификация методов построения адиабатических базовых логических вентилей и источников питания, а также методов авторегулировки мощности подкачки в адиабатических драйверах шин питания, обеспечивающая возможности определения наиболее перспективных вариантов и целенаправленного поиска методов их совершенствования.

2. Предложена методика определения предельной степени адиабатичности базовых логических вентилей, заключающаяся в определении работы, совершенной над вычислительной системой, в системе обобщенных координат напряжение—заряд (VQ-диаграмма).

3. Установлены и научно обоснованы закономерности энергопотребления в наиболее перспективных адиабатических базовых логических вентилях и адиабатических источниках питания, описываемые показательной функцией.

4. Обнаружен и объяснен эффект аномально высокого энергопотребления в наиболее перспективных типах адиабатических вентилей 2n-2n2p и ECRL. Показано, что этот эффект связан с протеканием короткого импульса сквозного тока при смене логического состояния и предложен метод его нейтрализации.

5. Проведено исследование и показано, что динамические характеристики вентилей 2n-2n2p и ECRL улучшаются при выполнении логического дерева на р-канальных транзисторах и использовании импульсов питания отрицательной полярности. Установлено, что для заданных значений емкости линий связи и ширины каналов п(или р)-канальных транзисторов логического дерева существует оптимальное значение ширины каналов р(или п)-канальных нагрузочных транзисторов, при котором энергетические потери минимальны.

6. Предложен способ схемотехнической организации адиабатического базового логического вентиля на основе только п-канальных МОП-транзисторов, обеспечивающий повышение плотности компоновки.

7. Проведено исследование и показано, что повышение скорости и энергетической эффективности адиабатических устройств производства информации может быть достигнуто за счет комбинации логических вентилей синхронного и асинхронного типа.

8. Предложен новый способ построения адиабатических источников питания резонансного типа на основе кольцевого генератора, обеспечивающий автоматическую синхронизацию выходных импульсов для произвольного числа фаз и автоматическую регулировку длительности импульсов подкачки.

9. Разработана методология проектирования адиабатических источников питания, позволяющая произвести обоснованный выбор параметров цепи импульсной подкачки мощности в адиабатических источниках питания резонансного типа, а также параметров переключающих транзисторов в адиабатических источниках питания на основе ступенчатого перезаряда напряжения нагрузочной емкости.

Практическая значимость работы:

  1. Результаты работы создают основу для разработки энергетически эффективной элементной базы устройств производства и обработки информации с ограниченными ресурсами мощности и габаритами, в частности, для универсальных и специализированных микропроцессорных систем, бортовых и мобильных телекоммуникационных устройств, систем идентификации, имплантируемых кардиостимуляторов, бытовой электронной аппаратуры.

  2. Разработанные способы организации адиабатических источников питания могут найти применение в микроэлектронных устройствах, содержащих информационные шины большой емкости (формирователях тактовых импульсов цифровых БИС и УБИС синхронного типа, формирователях импульсов выборки БИС и УБИС ЗУ, формирователях тактовых импульсов ПЗС и др.), обеспечивая существенное снижение суммарного энергопотребления.

  3. Установленные закономерности энергопотребления логических вентилей и источников питания позволяют определить целесообразность и эффективность их применения на ранних стадиях проектирования устройств производства информации.

  4. Предложенный в работе новый тип логического вентиля позволяет реализовать адиабатические блоки цифровых устройств производства информации в виде микросхем с высокой плотностью компоновки, содержащих только п-канальные МОП-транзисторы.

  5. Предложен новый способ построения адиабатических источников питания резонансного типа на основе кольцевого генератора, обеспечивающий автоматическую синхронизацию выходных импульсов для произвольного числа фаз и автоматическую регулировку длительности импульсов подкачки.

  6. Предложен способ схемотехнической организации адиабатического базового логического вентиля на основе только п-канальных МОП-транзисторов, обеспечивающий повышение плотности компоновки.

Результаты диссертационной работы использованы в НИР, выполненных в МИЭТ, по заданию министерства образования и науки РФ, по программе «Развитие научного потенциала высшей школы», а также в рамках выполнения комплексных проектов.

Работа поддержана грантами: министерства образования и науки; РФФИ; Президента РФ для поддержки молодых российских ученых-кандидатов наук и ведущих научных школ.

Результаты работы использованы при выполнении хоздоговорных НИР в рамках ФЦП «Национальная технологическая база» на 2007-2011 годы, ФЦП «Научные и научно-педагогические кадры инновационной России» на 2009-2013 годы.

Внедрение результатов работы:

Основные научные результаты диссертации использованы при выполнении следующих НИР:

- Исследование и разработка энергоэффективных и технологически независимых способов питания элементов ИС и систем на кристалле для устройств с пониженным энергопотреблением. ГК №П1318. 2010. Научный руководитель Лосев В.В.

- Исследование возможностей и методов создания термодинамически обратимых устройств обработки информации. Отчет о НИР - МИЭТ. Шифр 870-ГБ-Б-53-ИЭМС. М. 1998. № ГР. 01980005571. Научный руководитель Старосельский В.И.

- Разработка методов построения реверсивных логических СБИС. Отчет о НИР – МИЭТ. Шифр 827-ГБ-П-54-ИЭМС. М. 1998. № ГР. 01970009549. Научный руководитель Старосельский В.И.

- Принципы построения микроэлектронных термодинамически обратимых устройств обработки информации. Отчет о НИР – МИЭТ. Шифр 9-ГБ-Б-53-ИЭМС. М. 1999. Научный руководитель Старосельский В.И.

- Методы построения элементной базы термодинамически обратимых устройств производства информации. Отчет о НИР – МИЭТ. Шифр Иволга. М. 2000. № ГР 01200008744. Научный руководитель Старосельский В.И.

- Разработка элементной базы цифровых устройств обработки информации со сверхмалым энергопотреблением на основе принципа термодинамической обратимости. Отчет о НИР – МИЭТ. Шифр 324-ГБ-53-Э-ИЭМС. М. 2002. № ГР 01200106740. Научный руководитель Старосельский В.И.

- Исследование новых способов энергопитания цифровых УБИС — этап 2002 г. Отчет о НИР – МИЭТ. Шифр Иволга-2. М. 2002. № ГР 01200207900. Научный руководитель Старосельский В.И.

- «Разработка методов проектирования быстродействующей элементной базы и систем на кристалле с низкой потребляемой мощностью для перспективных устройств приема/передачи аналоговой и цифровой информации». ГК №02.740.11.0012. 2010 Научный руководитель Чаплыгин Ю.А.

- «Исследование методов проектирования наноразмерной электронной компонентной базы на основе параметризованных библиотечных элементов с ультранизкой потребляемой мощностью». ГК №П511. 2011. Научный руководитель Крупкина Т.Ю.

- «Исследование и разработка энергоэффективных микроэлектронных цифровых устройств на основе принципа регенерации избыточной энергии». ГК № 16.740.11.0563. 2012. Научный руководитель Чаплыгин Ю.А.

Разработанные в диссертации способы управления шинами с большой емкостью используются ЗАО ППК «Миландр» при проектировании драйверов контактных площадок (I/O pad) СБИС.

Материалы диссертационной работы используются в учебном процессе на кафедре интегральной электроники и микросистем в Национальном исследовательском университете «МИЭТ».

Результаты работы использованы при подготовке образовательного модуля профессиональной переподготовки «Энергоэффективное проектирование наноэлектронных ИС средствами САПР Cadence и Synopsys», разработанные образовательные материалы располагаются в Электронном реестре российских и зарубежных образовательных учреждений и учебных программ РОСНАНО.

Достоверность результатов Достоверность разработанных методов и схемотехнических решений подтверждена результатами компьютерного моделирования с использованием апробированных моделей элементов, основные выводы базируются на общепринятых фундаментальных представлениях. Получен патент на изобретение РФ № 2184418 по заявке № 2001120069 от 19.07.2001.

На защиту выносятся:

1. Схемотехнические способы реализации адиабатических логических вентилей, различающиеся степенью адиабатичности. Показано, что:

- в классе асимптотически адиабатических базовых логических вентилей наилучшими характеристиками обладает вентиль типа 1n1p, использующий коллапсирующие импульсы питания;

- в классе квазиадиабатических базовых логических вентилей оптимальными являются статические вентили 2n-2n2p, а также динамические вентили ECRL и предложенные в работе вентили КАДЛ-n

2. Результаты комплексного исследования предельных характеристик и возможностей адиабатических логических вентилей. Установлено, что:

- предельную степень адиабатичности базовых логических вентилей целесообразно определять предложенным в работе методом VQ-диаграмм.

- реализация асимптотически бездиссипативной логики синхронного (конвейерного) типа на современном технологическом уровне нецелесообразна.

3. Зависимости энергии, рассеиваемой за цикл переключения в базовых логических вентилях 2n-2n2p и ECRL от тактовой частоты , суммарной емкости вентиля и нагрузки , которые в рабочем частотном диапазоне имеют закономерность вида , где .

4. Рекомендации по совершенствованию частотных, динамических и энергетических характеристик адиабатических логических вентилей, а именно:

- частотные характеристики вентилей улучшаются при выполнении логического дерева на р-канальных транзисторах и использовании импульсов питания отрицательной полярности;

- повышение скорости и энергетической эффективности адиабатических устройств обработки информации может быть достигнуто за счет комбинации логических вентилей синхронного и асинхронного типа

5. Оптимальный способ построения адиабатических источников питания резонансного типа, основанный на использовании кольцевого генератора в сочетании с системой авторегулирования длительности импульса подкачки.

6. Устройство управления адиабатическим драйвером шин питания со ступенчатым перезарядом нагрузочной емкости.

Апробация работы: Основные положения и результаты диссертационной работы докладывались и обсуждались на Всероссийской научно-технической конференции "Микроэлектроника и информатика-99", (Москва, МИЭТ, 1997 г.), 7 Всероссийской межвузовской научно-технической конференции "Микроэлектроника и информатика-2000", (Москва, МИЭТ, 1997 г.), III международной научно-технической конференции “Электроника и информатика – XXI век”. (Москва, МИЭТ, 2000 г.), Всероссийской научно-технической конференции “Микро- и наноэлектроника-2001” (Звенигород, 2001 г.), I Всероссийской научно-технической дистанционной конференции "Электроника" (Москва, МИЭТ, 2001 г.), IV Международной научно-технической конференции “Электроника и информатика-2002” (Москва, МИЭТ, 2000 г.), International Conference “Micro- and nanoelectronics – 2003” ICMNE-2003 (Moscow-Zvenigorod, Russia, 2003), International Conference “Micro- and nanoelectronics – 2005” ICMNE-2005 (Moscow-Zvenigorod, Russia, 2005), IEEE Tenth International Conference and seminar on micro/nanotechnologies and electron device EDM’2009( Erlagol, Altai , 2009), International Conference “Micro- and nanoelectronics – 2009” ICMNE-2009 (Moscow-Zvenigorod, Russia, 2009), Международной научно-технической конференции и молодежной школы семинара «Нанотехнологии-2010», (Россия, Дивноморское, 2010), а также на научных семинарах ФТИАН и кафедры ИЭМС МИЭТ.

Публикации: По результатам работы опубликовано 12 статей, 19 тезисов докладов, 23 научно-технических отчета о НИР, патент на изобретение РФ № 2184418 по заявке № 2001120069 от 19.07.2001.

Личный вклад автора состоит в организации и постановке задач на исследования, непосредственном участии в их проведении, в анализе результатов исследований, в обобщении и обосновании всех защищаемых положений.

Во всех совместных работах автор участвовал в постановке задач, разработке методик исследования и технических решений, написании статей, докладов и патентов, а также представлял результаты исследований на научно-технических конференциях.

Результаты исследований, изложенные в главах диссертации, были получены при активном участии д.т.н., проф. Старосельского В.И.

Структура и объем диссертации . Диссертация состоит из введения, семи глав, заключения и списка используемой литературы. Диссертация изложена на 237 листах основного текста, содержит 91 рисунок и 19 таблиц к основному тексту, список литературы из 261 наименования.

Методы снижения потребляемой мощности

Научная новизна работы состоит в следующем:

1. Проведена классификация методов построения адиабатических базовых логических вентилей и источников питания, а также методов авторегулировки мощности подкачки в адиабатических драйверах шин питания, обеспечивающая возможности определения наиболее перспективных вариантов и целенаправленного поиска методов их совершенствования.

2. Предложена методика определения предельной степени адиабатичности базовых логических вентилей, заключающаяся в определении работы совершенной над вьшислительной системой, в системе обобщенных координат Обобщенными координатами являются напряжение—заряд (К(2-диаграмма).

3. Установлены закономерности энергопотребления в наиболее перспективных адиабатических базовых логических вентилях и адиабатических источниках питания, описываемые показательной функцией. 4. Обнаружен эффект аномально высокого энергопотребления в наиболее перспективных типах адиабатических вентилей 2п-2п2р и ECRL. Показано, что этот эффект связан с протеканием короткого импульса сквозного тока при смене логического состояния и предложен метод его нейтрализации.

5. Показано, что динамические характеристики вентилей 2п-2п2р и ECRL улучшаются при выполнении логического дерева на р-канальных транзисторах и использовании импульсов питания отрицательной полярности. Показано, что для заданных значений емкости линий связи и ширины каналов п(или р)-канальных транзисторов логического дерева существует оптимальное значение ширины каналов р(или п)-канальных нагрузочных транзисторов, при котором энергетические потери минимальны.

6. Предложен способ схемотехнической организации адиабатического базового логического вентиля на основе только п-канальных МОП-транзисторов, обеспечивающий повышение плотности компоновки.

7. Показано, что повышение скорости и энергетической эффективности адиабатических устройств производства информации может быть достигнуто за счет комбинации логических вентилей синхронного и асинхронного типа

8. Предложен новый способ построения адиабатических источников питания резонансного типа на основе кольцевого генератора, обеспечивающий автоматическую синхронизацию выходных импульсов для произвольного числа фаз и автоматическую регулировку длительности импульсов подкачки.

9. Разработаны методики проектирования адиабатических источников питания, позволяющие произвести обоснованный выбор параметров цепи импульсной подкачки мощности в адиабатических источниках питания резонансного типа, а также параметров переключающих транзисторов в адиабатических источниках питания на основе ступенчатого перезаряда напряжения нагрузочной емкости.

Практическая значимость работы:

1) Результаты работы создают основу для разработки энергетически эффективной элементной базы устройств производства и обработки информации с ограниченными ресурсами мощности и габаритами, в частности, для универсальных и специализированных микропроцессорных систем, бортовых и мобильных телекоммуникационных устройств, систем идентификации, имплантируемых кардиостимуляторов, бытовой электронной аппаратуры.

2) Разработанные способы организации адиабатических источников питания могут найти применение в микроэлектронных устройствах, содержащих информационные шины большой емкости (формирователях тактовых импульсов цифровых БИС и УБИС синхронного типа, формирователях импульсов выборки БИС и УБИС ЗУ, формирователях тактовых импульсов ПЗС и др.), обеспечивая существенное снижение суммарного энергопотребления.

3) Установленные закономерности энергопотребления логических вентилей и источников питания позволяют определить целесообразность и эффективность их применения на ранних стадиях проектирования устройств производства информации.

4) Предложенный в работе новый тип логического вентиля позволяет реализовать адиабатические блоки цифровых устройств производства информации в виде микросхем с высокой плотностью компоновки, содержащих только п-канальные МОП-транзисторы.

Внедрение результатов работы: материалы диссертационной работы используются в учебном процессе на кафедре интегральной электроники и микросистем в национальном исследовательском университете «МИЭТ».

Основные научные результаты диссертации использованы при выполнении следующих НИР:

- Исследование и разработка энергоэффективных и технологически независимых способов питания элементов ИС и систем на кристалле для устройств с пониженным энергопотреблением. ГК №П1318. 2010. Научный руководитель Лосев В.В.

- Исследование возможностей и методов создания термодинамически обратимых устройств обработки информации. Отчет о НИР - МИЭТ. Шифр 870-ГБ-Б-53-ИЭМС. М. 1998. № ГР. 01980005571. Научный руководитель Старо-сельский В.И.

- Разработка методов построения реверсивных логических СБИС. Отчет о НИР МИЭТ. Шифр 827-ГБ-П-54-ИЭМС. М. 1998. № ГР. 01970009549. Научный руководитель Старосельский В.И.

Основные положения характеризующие энергетику процесса производства информации

Уровень потребления мощности при разных технологических нормах изготовления ИС В динамическом режиме в схеме присутствуют переходные процессы, т.е. ряд величин, характеризующих состояние схемы (токи и напряжения), зависят от времени. Можно выделить две группы процессов, служащих источником потребления динамической мощности: заряд ёмкостей и токи короткого замыкания. Все элементы ИС обладают некоторой ёмкостью, и при изменении напряжений на различных элементах схемы в этих ёмкостях происходит либо накопление, либо отдача заряда, что требует определённых затрат энергии. Эта составляющая потребления мощности зависит от интенсивности переходных процессов (частоты переключений), амплитуды изменения напряжений и величины самих ёмкостей [21-42].

В общем виде динамическая мощность, потребляемая вентилем, описывается выражением: Pjyn = CLVjaf, (1.1) где: CL - нагрузочная емкость; Vdd - напряжение питания; а - переключательная активность (вероятность переключения выхода вентиля); f - тактовая частота.

Это выражение справедливо с определённым уточнением: входные ёмкости при переключении вентиля из 0 в 1 и из 1 Б 0 в общем случае не равны, поэтому ёмкость должна быть усреднена. Также необходимо, чтобы входной сигнал был периодическим, в противном случае компонента частоты должна быть усложнена для учёта всех составляющих непериодического сигнала. На рисунке 1.4 показана эквивалентная модель инвертора при переходе из 0 в 1.

Вообще ёмкость вентиля, участвующая в переключении и включённая в формулу потребления мощности, состоит из нескольких компонент: ёмкость затвора вентилей, ёмкости межсоединений (металлическая или поликремневая разводка внутри вентиля) и диффузионные ёмкости областей стока/истока транзисторов.

Выражение (1.1) может быть применимо и к вычислению динамической мощности, потребляемой схемой из N вентилей. Для этого необходимо усреднить частоту переключений с учётом числа вентилей, переключающихся в единицу времени. Для учёта всех указанных параметров используется коэффициент переключательной активности 0 а 1, который показывает среднюю долю тактов, в которых произошли переходы в направлении из 0 в 1 или из 1 в 0. Использование такого метода для учёта факта, что не все вентили переключаются с одинаковой частотой, возможно только в схемах с единой системой синхронизации. Другими словами, описанным методом можно учесть различную частоту переключений вентиля (как следствие, различный его вклад в общую ёмкость ИС), только если переключения всех вентилей синхронизируются одинаковым образом.

Второй компонентой динамической мощности являются токи короткого замыкания. Причиной их появления является возникновение в схеме в момент переключения токов от питания к земле через открытые транзисторы. Токи короткого замыкания возникают, когда на выходе вентиля начинается логический переход, в то время как вход еще находится в процессе переключения. Обычно это происходит в тех случаях, когда время нарастания/спада на входе больше времени нарастания/спада на выходе. В идеальном случае последовательного входа транзисторы изменяют состояние моментально, мгновенно включаясь и выключаясь. Однако для реальных схем входной сигнал имеет конечное время переключения и ёмкостная связь присутствует.

На рисунке 1.5 показан механизм возникновения тока короткого замыкания в момент, когда открыты оба транзистора в инверторе, через них протекает ток ISc-Чем больше время нарастания/спада, тем дольше будет протекать ток короткого замыкания, и усредненный ток короткого замыкания будет возрастать [41,43-52].

В статическом режиме отсутствуют переходные процессы, и потребление мощности вызвано процессами протекания токов через элементы ИС (за исключением токов короткого замыкания, потери энергии на которых относятся к динамическим, т.к. возникают только при переходных процессах). Теоретически, в идеальном случае в статике токи должны полностью отсутствовать, а потребление мощности равняться нулю. Существует три основных вида токов утечки, в сумме составляющих общий ток Iieak. Это диодный ток утечки через обратно смещенный р-n переход сток/подложка, ток через закрытый канал транзистора (подпороговая утечка) и туннельный ток через подзатворный диэлектрик (рисунок 1.6).

Возникновение токов утечки в статическом состоянии. Особенно остро проблема возникновения токов утечки встаёт при уменьшении топологических размеров элементов ИС, когда эффекты преодоления носителями зарядов потенциальных барьеров начинают играть все большую роль. Выражение для мощности токов утечки имеет следующий вид:

Ток утечки на переходе исток/подложка возникает при подаче на исток высокого потенциала, притом, что на затворе потенциал нулевой и транзистор закрыт. Другими словами, диод исток/подложка получает обратное смещение, приводящее к протеканию малого тока утечки.

Рассмотрим КМОП инвертор, показанный на рисунке 1.6. В случае, когда на входе инвертора низкий уровень напряжения, на выходе устанавливается высокий уровень - р-транзистор открыт, п - закрыт, выход соединён с питанием через открытый канал р-транзистора и с землёй через закрытый п-транзистор. На истоке п-транзистора в этом случае устанавливается потенциал, равный напряжению питания Vdd- Так как транзистор закрыт, диод исток/подложка оказывается обратно смещённым и через него возникает ток утечки, который приблизительно равен:

ILD=ADJSD (1.3)

где Ad - объем области стоковой диффузии, a Jsd - плотность тока утечки, определяемая технологией. Когда диод достигнет максимума тока обратного смещения при относительно небольшом потенциале, ток утечки станет практически независимым от напряжения питания.

Плотность тока утечки зависит от температуры и может резко возрастать при высоких температурах. Диодная утечка в диоде исток/подложка доминирует в диффузионных токах утечки, ток утечки может быть оценен как пренебрежимо малый, характерное значение этого тока для сравнительно большой ИС составляет 10 пА.

Подпороговая утечка возникает при тех же условиях, что и диодная. В описанном выше инверторе, n-транзистор выключен, но даже при напряжении на затворе, равном 0В, ток продолжает течь по каналу из-за наличия потенциала сток/исток, равного напряжению питания Vdd- При уменьшении порогового напряжения подпороговый ток утечки закрытого транзистора увеличивается, даже при напряжении на затворе, равном нулю.

Величина подпорогового тока зависит одновременно от технологического процесса, размеров транзисторов (W/L, ширина/длина канала), и напряжения питания.

Квазиадиабатические логические вентили динамического типа

Цепь таких инверторов представлена на рисунке 3.14. Использование КМОП базиса накладывает некоторые ограничения на ширину каналов транзисторов. Если в фазе активации /=1-го вентиля транзистор Q; открыт, то заряд емкостей С,- и С,-через транзистор Q; и диод QDI І соответственно происходит при одинаковых напряжениях затвор-исток. Поэтому отношение токов заряда этих емкостей равно отношению поперечных размеров каналов транзисторов

Параметр /3 имеет смысл коэффициента усиления по току транзисторной пары Q; -QDI І , имитирующей биполярный транзистор. Скорость заряда емкости С,- не должна быть меньше, чем скорость заряда емкости С,-, так как в противном случае транзистор Q; окажется закрытым, и функционирование вентиля нарушится. Поэтому коэффициент усиления /? должен отвечать требованию

Гарантированное значение коэффициента усиления обеспечивается только тогда, когда переключаемый транзистор Q; и транзистор QDI І В ДИОДНОМ включении имеют один тип канала. В противном случае неизбежное различие модулей пороговых напряжений р- и w-канальных транзисторов нарушит работоспособность вентилей р- или w-типа. По той же причине к разбросу пороговых напряжений однотипных транзисторов в логике REL предъявляются повышенные требования.

Авторы [126-128] отмечают, что в вентилях REL шина нулевого потенциала может отсутствовать, если общая подложка заземлена с тыльной стороны, т.к. для хранения информации используется емкость коллектор-подложка (или сток-подложка).

При у=\, Vt= 0,2 Vddиз (3.9) получим: г/= 1,1. Низкая энергетическая эффективность вентиля REL объясняется наличием дополнительного каскада, осуществляющего инверсию выходного сигнала (транзисторы Q и диоды QD на рисунке 3.12а). В результате емкости С перезаряжаются даже при логических переходах 1-1 , когда транзисторы Q остаются закрытыми. Приведенные выше соотношения показывают, что эти переходы совершаются с наименьшей энергетической эффективностью.

Главным преимуществом логики REL является однофазное питание.

Весьма простыми по схемной конфигурации являются квазиадиабатические вентили, предложенные в работах [123-124,131,132] (Adiabatic dinamic logic — ADL). Их прототипом является обычный динамический КМОП инвертор («домино», рисунок 3.8). Схема инвертора ADL представлена на рисунке 3.16а. Инвертор содержит всего один транзистор и один диод. На рисунке 3.16б,в показаны временные диаграммы рабочих импульсов (предполагается, что модули пороговых напряжений Vt и эффективные напряжения диодов одинаковы). Согласованность уровней сигналов в соседних каскадах достигается чередованием комплементарных вентилей и использованием 4- фазных импульсов питания (тактовых импуль 102 сов). Период тактовых импульсов включает фазу предзаряда нагрузочной емкости С и фазу активации.

Для вентилей n-типа фаза предзаряда соответствует фронту тактового импульса ф, а фаза активации — срезу; для вентилей р-типа — наоборот. В вентилях n-типа в фазе предзаряда емкость С заряжается через диод до напряжения VM - KD, где VtD- эффективное напряжение отпирания диода. В фазе активации выходное напряжение остается высоким, если входной сигнал X имеет низкий уровень логического нуля (X = 0, транзистор Qn закрыт, и заряд сохраняется на емкости С), и снижается до нуля через транзистор Qn , если X = 1. Аналогично работают вентили р-типа, в которых уровень выходного напряжения в фазе предзаряда составляет Vto, а уровень логической 1 — V M. Таким образом, логический перепад напряжения составляет a - цепь инверторов; б, в - временные диаграммы напряжений Vp\ (б), Vn2 (в) и импульсов питания ф і (б), ф 2 (в) (штриховые линии). Светлые кружки — состояния О, черные — 1; стрелками показаны периоды передачи информации от предыдущего вентиля последующему, г - вентиль Y = XiX2 + Xj ; Д - комбинация асинхронно работающих вентилей, управляемых одним тактовым сигналом.

Рисунок 3.16. Логика ADL Дискинсона-Денкера Помехоустойчивость вентилей обеспечивается выполнением некоторых требований к параметрам приборов и питающим напряжениям. Когда вентиль п-типа находится в состоянии 1, его входное напряжение соответствует низкому уровню напряжения вентиля р-типа VpL = фр min + VD„ (VDn — прямое напряжение на диодах

Квазиадиабатический динамический базовый вентиль на основе п-канальных МОП-транзисторов

Предельные соотношения (6.41) и (6.42) представлены на рисунке 6.4 штриховыми линиями. Как видно из рисунка, зависимость W - IWQ / I fso) весьма точно описывается соотношением (6.41), а функция (5.42) может быть использована для оценочных расчетов. Отметим, что значения тщ/ оЕ и Cg lC в (6.41) и (6.42) не зависят от числа фаз Nx, поэтому штриховые линии на рисунке имеют более общий характер, чем сплошные. Из соотношений (6.41) и (6.42) и рисунков 6.1-6.4 следует, что при п = п t при существенном суммарном выигрыше в энергопотреблении (И тіп /WQY, 0,3) ключи всегда занимают достаточно малую площадь на кристалле (С$% ICL 0,1).

Значительным неудобством является необходимость использования накопительных конденсаторов большой емкости или дополнительных источников ЭДС для оптимизации параметра п . Из соотношений (6.3), (6.40) и (6.41) следует, что их число составляет copt 3л/Ло/4/1п2 -N (6.43) 3Fr0S/2FrSmin-iV (6.44)

Если накопительные конденсаторы С размещаются на кристалле ИС, их емкость следует по возможности уменьшать для экономии площади кристалла. Однако снижение емкостей С приводит к уменьшению выигрыша в энергопотреблении.

Необходимая величина сопротивлений транзисторов в ключах обеспечивается надлежащим выбором ширины канала:

Результаты компьютерного моделирования Объектом моделирования являлся 4-х фазный адиабатический драйвер со ступенчатым перезарядом нагрузочных емкостей, не содержащий индуктивных элементов.

Разработана схема управления драйвером, обеспечивающая получение четы-рехфазных ступенчатых импульсов питания со сдвигом на j периода. Нужная временная диаграмма управляющих импульсов обеспечивается использованием 8-ми разрядного кольцевого счетчика на основе регистра сдвига с параллельной загрузкой, предустановкой и сбросом информации, в котором циркулирует одна логическая 1.

Структурная схема счетчика представлена на рисунке 6.5. На рисунке 6.6 показана структурная схема регистра сдвига, выполненного на D-триггерах с динамическим управлением по положительному фронту, а на рисунке 6.7 — структурная схема D-триггера. Работа регистра сдвига синхронизируется тактовыми импульсами CLOCK; положительный фронт каждого тактового импульса сопровождается сдвигом информации, находящейся в регистре, влево.

Блоки устройства управления выполнены на логических элементах 2И-НЕ, имеющих более высокое быстродействие, чем элементы 2ИЛИ-НЕ, и занимающих меньшую площадь на кристалле.

Энергетические и временные характеристики адиабатического источника питания исследовались методами компьютерного моделирования. Исследовались процессы в цепях заряда (разряда) 4-х нагрузочных емкостей Су, имитирующих логическое устройство на адиабатических вентилях 2п-2п2р с 4-фазным питанием с общим количеством вентилей 4J = 4000 (N = 1000 вентилей в каждой фазе питания).

В компьютерном эксперименте использовались модели МОП-транзисторов 1-го уровня; параметры транзисторных структур приведены в таблице 5.1.

Емкость СЕ представляет собой эквивалентную емкость N вентилей 2п-2п2р со стороны шины питания, которая определялась по результатам моделирования логического устройства. При этом полагалось, что эквивалентная емкость вентиля составляет C = 2CL+Cmt, (6.50) где Cint= 160 фФ — эффективная емкость транзисторов вентиля, a CL= 20 фФ — средня емкость линий связи. Таким образом, С = 200 фФ, и С2 = 200 пФ.

Частота работы устройства была выбрана равной 5МГц, что соответствует примерно середине рабочего диапазона на энергетической характеристике вентиля

177

2n-2n2p (рисунок 5.2). Время длительности одной ступени перезаряда нагрузочных емкостей при этом составляет 25нс, а частота тактовых импульсов устройства управления источником питания согласно (6.49) составляет /CLOCK = 40МГц. Напряжение питания принято равным Е = 1,5 В. При этом амплитуда выходных импульсов Vdd составляет около 3 В.

Ширина канала для всех транзисторов устройства принята равной 5мкм. Значения ширины канала для транзисторов драйвера определялись в соответствии с методикой раздела 6.5 и составили: WS0 = 700 мкм — для транзисторов, соединяющих шины питания с земляной шиной, wst=wso —x Е - Vt [1, п - канал; Е - Ej - Vt [b, р - канал, для остальных транзисторов, где Et — уровень напряжения, фиксируемый /-м ключом.

Временные диаграммы управляющих сигналов и импульсов питания приведены на рисунке 6.8. Диаграммы подтверждают правильность работы драйвера.

В процессе эксперимента определялась энергия W , потребляемая драйвером и устройством управления за период импульсов питания Т = 200 не, а также энергия Wcs, потребляемая устройством управления и энергия Ws, рассеиваемая при перезаряде входных емкостей коммутирующих транзисторов в драйвере. Энергия Ws определялась как разность между энергией Wcs и энергией Wcso, измеренной при нулевых значениях емкостей затвор-исток и затвор-сток коммутирующих транзисторов: WS=WCS-WCS0. (6.51) Результаты моделирования сведены в таблицу 6.1. В таблице 6.1 приведено также значение энергии W0, рассеиваемой за период при неадиабатическом перезаряде емкости СЕ.

Похожие диссертации на Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением