Электронная библиотека диссертаций и авторефератов России
dslib.net
Библиотека диссертаций
Навигация
Каталог диссертаций России
Англоязычные диссертации
Диссертации бесплатно
Предстоящие защиты
Рецензии на автореферат
Отчисления авторам
Мой кабинет
Заказы: забрать, оплатить
Мой личный счет
Мой профиль
Мой авторский профиль
Подписки на рассылки



расширенный поиск

Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Кувшинов Андрей Алексеевич

Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей
<
Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей
>

Диссертация - 480 руб., доставка 10 минут, круглосуточно, без выходных и праздников

Автореферат - бесплатно, доставка 10 минут, круглосуточно, без выходных и праздников

Кувшинов Андрей Алексеевич. Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей : диссертация ... кандидата технических наук : 05.13.05 / Кувшинов Андрей Алексеевич; [Место защиты: Ульян. гос. техн. ун-т].- Тольятти, 2009.- 202 с.: ил. РГБ ОД, 61 09-5/2189

Содержание к диссертации

Введение

Глава 1. Постановка задачи управления передаточными характеристиками широтно-импульсных преобразователей ... 10

1.1. Особенности применения шим в статических преобразователях 10

1.2. Разновидности регулировочных характеристик широтно-импульсных преобразователей 14

1.3. Принцип управления передаточными характеристиками широтно-импульсных преобразователей 35

1.4. Логические операции для управления передаточными характеристиками 40

1.5. Выводы 43

Глава 2. Логико-алгебраическое моделирование алгоритмов шим 45

2.1. Базовые операции импликативной алгебры выбора 45

2.2. Многоместные иав-операции 49

2.3. Методика логико-алгебраического моделирования импульсных последовательностей 51

2.4. Логико-алгебраическое моделирование типовых алгоритмов шим 58

2.5. Принцип коммутационного программирования континуальных сигналов 66

2.6. Логико-алгебраическая модель шим с функциональной разверткой опорного сигнала 71

2.7. Обобщенная логико-алгебраическая модель контроллера передаточной характеристики 79

2.8. Выводы 85

Глава 3. Формализованный синтез контроллера передаточных характеристик в элементном базисе реляторов 87

3.1. Синтез широтно-импульсного модулятора на одноканальном реляторе 87

3.2. Реляторный широтно-импульсный модулятор с функцией драйвера силовых транзисторов 97

3.3. Имитационная модель реляторного широтно-импульсного модулятора в стандарте spice 104

3.4. Реляторный широтно-импульсный модулятор с повышенной помехоустойчивостью 112

3.5. Синтез реляторного шим-контроллера передаточной характеристики с программируемой формой опорного сигнала 121

3.6. Выводы 138

Глава 4. Методика формирования заданной передаточной характеристики широтно-импульсного преобразователя 141

4.1. Особенности передаточных характеристик широтно-импульсных преобразователей 141

4.2. Разновидности функциональных разверток опорного сигнала 145

4.3. Выбор арности логико-алгебраических моделей функциональной развертки опорного сигнала 156

4.4. Примеры формирования передаточных характеристик 160

4.5. Выводы 176

Заключение 178

Литература 180

Приложения 194

Введение к работе

Актуальность темы. Широтно-импульсные преобразователи (ШИП) находят широкое применение в источниках вторичного электропитания радиоэлектронной аппаратуры промышленного и бытового назначения, системах автомобильной электроники, промышленном электроприводе, электротехнологических установках. Отличительной особенностью большинства разновидностей ШИП является существенная нелинейность регулировочных характеристик и, как следствие, передаточных характеристик «информационный вход - силовой выход». Указанное обстоятельство ограничивает диапазон и точность регулирования выходного напряжения, снижает помехоустойчивость ШИП.

Устранение перечисленных недостатков осуществляется

принудительным формированием передаточной характеристики ШИП требуемого вида. На практике критерием принудительного формирования часто выбирается линеаризация передаточной характеристики, методам которой посвящены ряд работ, как отечественных (Исхаков А.С., Обухов С.Г., Чаплыгин Е.Е., Кипенский А.В.), так и зарубежных исследователей (Prodic A., Maksimovich D., Vladimirov Peterchev А.).

Однако проблема линеаризации передаточных характеристик решена только для сетевых ШИП с относительно низкой частотой (до 500 Гц) коммутации вентилей, определяемой частотой питающего напряжения. В автономных преобразователях с высокочастотной (до 1 МГц) широтно-импульсной модуляцией (ШИМ) микропроцессорное управление встречает серьезные затруднения, поскольку продолжительность межкоммутационных интервалов ШИП соизмерима с продолжительностью машинных циклов. Кроме того, вид регулировочной характеристики существенно изменяется при переходе из режима непрерывного тока в режим прерывистого тока, не позволяя линеаризовать передаточную характеристику во всем диапазоне регулирования выходного напряжения. Указанные обстоятельства делают

5 актуальными задачи разработки и исследования методов управления передаточными характеристиками ШИП, а также их практическую реализацию.

Цель и задачи работы. Цель диссертационной работы - расширение диапазона и увеличение точности регулирования выходного напряжения, повышение помехоустойчивости высокочастотных ШИП за счет применения методов коммутационно-логического управления передаточными характеристиками «сигнал регулирования - выходное напряжение». Для достижения поставленной цели необходимо решить следующие задачи:

  1. Исследовать влияние вида регулировочной характеристики на помехоустойчивость, диапазон, точность регулирования выходного напряжения ШИП.

  2. Разработать способ управления передаточными характеристиками на основе ШИМ с функциональной разверткой опорного сигнала, формируемой с помощью континуальных логических операций.

  3. Обосновать выбор логико-алгебраического аппарата для моделирования процессов формирования широтно-импульсных сигналов, базовые операции которого должны аппаратурно воспроизводиться соответствующим элементным базисом.

  4. Разработать методику логико-алгебраического моделирования алгоритмов ШИМ, позволяющих формировать заданную передаточную характеристику с учетом параметров реальной регулировочной характеристики и режима работы ШИП.

  5. Разработать методику формализованного синтеза контроллера передаточных характеристик ШИП на основе отображения логико-алгебраических моделей в электрические схемы посредством воспроизводящего элементного базиса.

Методы исследования. Для решения поставленных задач использованы логико-алгебраический аппарат булевой алгебры,

импликативной алгебры выбора (ИАВ), методы теории электрических цепей, теории графов, классической теории автоматического управления. Научная новизна.

  1. Показано, что ШИМ с функциональной разверткой опорного сигнала позволяет, в отличие от известных методов ШИМ с линейной разверткой, управлять передаточной характеристикой «сигнал управления — выходное напряжение» путем изменения вида функциональной зависимости на периоде модуляции.

  2. Впервые предложены коммутационно-логические методы управления передаточными характеристиками на основе аппарата ИАВ, позволяющего моделировать и аппаратурно воспроизводить с частотой повторения до 1 МГц функциональную развертку опорного сигнала по уравнениям передаточной и регулировочной характеристик высокочастотных ШИП.

  3. Разработан новый способ управления ШИП, основанный на коммутационном программировании функциональной развертки опорного сигнала по критерию формирования заданной передаточной характеристики и позволяющий расширить на (104-40)% диапазон и увеличить до (34-5)% точность регулирования выходного напряжения (патент РФ 2350007).

  4. Разработана методика формализованного синтеза контроллера передаточных характеристик на основе отображения логико-алгебраической модели в однородную, регулярную сеть одноканальних реляторов, удобную для реализации в интегральном исполнении.

Практическая ценность.

  1. Разработаны быстродействующие одноканальные реляторы, позволяющие многократно (не менее 104-20 раз) воспроизводить бинарные операции ИАВ на периоде модуляции продолжительностью не более 1 мкс.

  2. Определены условия функционирования одноканального релятора в режиме широтно-импульсного модулятора, обеспечивающего управление силовым транзистором с емкостью изолированного затвора до 50 нФ.

  1. Предложен способ формирования широтно-импульсных сигналов, обеспечивающий устойчивость ШИП к импульсным помехам, воздействующих на сигнал управления, с кратностью до 4-^-5 скважностью более 10 (патент РФ 2341016).

  2. Разработана методика выбора арности многоместных операций при синтезе логико-алгебраических моделей, позволяющая определять с учетом параметров реальной регулировочной характеристики количество одноканальных реляторов в составе контроллера передаточных характеристик, обеспечивающее заданную точность (не менее 5%) формирования выбранной передаточной характеристики.

На защиту выносятся:

  1. Методы логико-алгебраического моделирования алгоритмов ШИМ в базисе бинарных и многоместных операций импликативной алгебры выбора.

  2. Принцип коммутационного программирования континуальных сигналов и способ коммутационно-логического управления передаточными характеристиками ШИП.

  3. Методы формализованного на основе логико-алгебраических моделей синтеза контроллеров передаточных характеристик в элементном базисе одноканальных реляторов.

  4. Способ повышения помехоустойчивости канала формирования широтно-импульсных сигналов.

  5. Коммутационно-логические методы линеаризации передаточных характеристик типовых ШИП с учетом реальных регулировочных характеристик.

Апробация результатов работы. Материалы, составляющие основу диссертации, обсуждались на следующих конференциях:

Всероссийская научно-техническая конференция «Проблемы электротехники, электроэнергетики и электротехнологии» (21-24 сентября 2004 года). - Тольятти, 2004г.;

V Городская научно-практическая конференция «Наука - сервису города» (19-20 апреля 2005 года). - Тольятти, 2005г.;

Международная конференция «Континуальные алгебраические логики, исчисления и нейроинформатика в науке и технике» (17-19 мая 2005 года). — Ульяновск, 2005 г.;

Международная конференция «Континуальные алгебраические логики, исчисления и нейроинформатика в науке и технике» (16-18 мая 2006 года). — Ульяновск, 2006 г.;

Международная «Конференция по логике, информатике, науковедению» (17-18 мая 2007 года). - Ульяновск, 2007 г.;

X Международная конференция по мягким вычислениям и измерениям SCM'2007 (25-27 июня 2007 года). - Санкт-Петербург, 2007 г.;

Вторая научно-практическая конференция «Наука - промышленности и сервису» (23-24 марта 2008 года). - Тольятти, 2008г.;

VIII Международная научно-практическая конференция «Моделирования. Теория, методы и средства (7 апреля 2008 года). — Новочеркасск, 2008 г.;

Реализация результатов работы. Основные результаты диссертационной работы использованы для модернизации систем бесперебойного электропитания телекоммуникационного оборудования фирмы ЗАО «Инфопак» (г. Тольятти), для разработки и изготовления учебно-лабораторных стендов по дисциплинам «Электропреобразовательные устройства РЭС», «Электропитание и элементы электромеханики», «Цифровые устройства и микропроцессоры» специальностей «Радиотехника», «Аудиовизуальная техника», «Бытовая радиоэлектронная аппаратура» Поволжского государственного университета сервиса, а также при выполнении фундаментальной НИР «Разработка логико-алгебраических методов анализа и синтеза непрерывно-дискретных систем силовой электроники» (код ВНТИЦ 020302362 0339. Регистрационный номер

9 . 10.02.2007 06466), проводимой в Поволжском государственном университете сервиса в 2007-2008 г.г. по заданию Федерального агентства по образованию.

Публикации. Материалы и результаты диссертационной работы нашли отражение в следующих публикациях: 13 статей и тезисов докладов, включая J_ статью в издании, рекомендованном ВАК РФ и 2 патента РФ.

Структура и объем диссертации. Диссертация изложена на 193 с. машинописного текста, содержит введение, четыре главы, заключение, список литературы из 124 наименований, два приложения, 53 страницы рисунков и 9 таблиц.

Личный вклад автора. Все основные научные и практические результаты, выводы и рекомендации получены автором впервые и лично. В работах, выполненных в соавторстве, автор является инициатором (выдвигал идею, формулировал задачу, определял пути ее решения). Все работы по внедрению и практическому использованию результатов научных исследований проведены под руководством и личном участии автора.

Разновидности регулировочных характеристик широтно-импульсных преобразователей

Широтно-импульсное регулирование выходной координаты (напряжения, тока, мощности) статических преобразователей получает доминирующее применение, обусловленное быстрым прогрессом в области разработки и производства силовых полупроводниковых приборов с полным управлением [31]. В широтно-импульсной модуляции (ШИМ) сочетаются элементы аналоговой и цифровой обработки информации, порождая чрезвычайно разнообразные способы практической реализации: от специализированных микросхем ШИМ-контроллеров [31,85] до программных алгоритмов, ориентированных на использование микропроцессорных средств [57,94,110,114]. Известные способы ШИМ основаны на сравнении опорного сигнала развертывающего вида, задающего продолжительность периода модуляции с сигналом управления, определяющего величину выходного сигнала на периоде модуляции. Процедура сравнения осуществляется на каждом тактовом интервале ШИМ при любой форме представления сигналов, аналоговой или цифровой, и формально сводится к решению уравнения где S0(t) = S0(t + T) - опорный сигнал; Sy(t) - сигнал управления; Т - период модуляции. В процессе решения (1.1) формируется периодическая импульсная последовательность, в которой «ширина» каждого импульса пропорциональна «высоте» сигнала управления Sy(t), т.е. осуществляется преобразование где у - относительная длительность импульсов в ШИМ-последовательности. Вид функциональной зависимости в соотношении (1-2) непосредственно определяется законом развертывания (формой) опорного сигнала на периоде ШИМ. В частном случае пилообразной формы опорного сигнала функциональная зависимость имеет линейный характер где K = l/S0m - коэффициент пропорциональности; S0m - амплитуда опорного сигнала. В общем же случае существует принципиальная возможность задания функциональной зависимости необходимого вида путем выбора соответствующего закона развертывания опорного сигнала. Отмеченное свойство ШИМ имеет непосредственное практическое значение для ШИП, регулировочные характеристики которых, т.е. зависимость выходного напряжения от относительной длительности импульсов имеют в большинстве случаев существенно нелинейный характер и определяются параметрами и структурой силовой схемы (таблица 1.1). Здесь rL, rL1, rL2- сопротивление потерь дросселей L, Li, L2 соответственно; гс - сопротивление потерь "конденсатора С; RH сопротивление нагрузки; UBb(X, UBbIX(1) - действующие значения выходного напряжения и 1-й гармоники выходного напряжения соответственно; E5UBX - постоянное и действующее значения входного напряжения преобразователя; TL = нормированное значение постоянной времени преобразователя; i =rL/RH , r =rc/RH .

В задачах стабилизации выходного напряжения, например, при использовании ШИП в составе источника вторичного электропитания, диапазон изменения относительной длительности у управляющих импульсов определяется диапазоном изменения дестабилизирующих факторов (сопротивление нагрузки, входное напряжение и др.) и, как правило, достаточно узкий. Поэтому в зависимости от параметров силовой схемы функционирование ШИП происходит либо в области прерывистого тока, либо в области непрерывного тока. Выбор области функционирования ШИП определяется дополнительными, часто противоречивыми требованиями. Например, для снижения уровня пульсаций выходного напряжения необходим режим непрерывного тока, а для улучшения массогабаритных показателей целесообразно выбирать режим прерывистого тока.

В задачах глубокого регулирования выходного напряжения, например, при использовании ШИП в составе позиционного электропривода, электротехнологических установок, изменение относительной длительности у управляющих импульсов охватывает всю область допустимых значений от О до 1,0. Поэтому возможно функционирование ШИП как в режиме непрерывного тока, так и в режиме прерывистого тока. В этом случае выходное напряжение ШИП должно описываться системой уравнений, которая включает уравнения регулировочной характеристики в режимах непрерывного и прерывистого токов, а также уравнение сопряжения. Например, выходное напряжение преобразователя постоянного напряжения (ППН) понижающего типа описывается системой уравнений

Уравнение (1.4) описывает регулировочную характеристику ППН понижающего типа в режиме прерывистого тока, уравнение (1.5) — в режиме непрерывного тока. Нетрудно проверить," что при У = укр уравнения (1.4) и (1.5) дают одинаковые значения выходного напряжения.

Анализ уравнения (1.6) позволяет определить область значений нормированной постоянной времени

0 т, 0,5, при которых ППН понижающего типа в зависимости от значения относительной длительности у управляющих импульсов функционирует либо в режиме прерывистого тока, либо в режиме непрерывного тока. При т 0,5 ППН понижающего типа функционирует только в режиме непрерывного тока при любом значении относительной длительности у управляющих импульсов. Данную особенность регулировочных характеристик ППН понижающего типа наглядно иллюстрируют графики, показанные на рис. 1.1.

Здесь кривые 1,2,3 показывают характер изменения выходного напряжения в режиме прерывистого тока при значениях нормированной постоянной времени xL = 0,05; х, = ОД; xL = 0,2 соответственно. Линия 4 показывает изменение выходного напряжения ППН понижающего типа в режиме непрерывного тока при любых значениях нормированной постоянной времени xL 0,5. Как видно, кривые 1, 2, 3 прерывистого режима «смыкаются» с линией 4 непрерывного режима при критических значениях относительной длительности управляющих импульсов укр1 =0,9; укр2 =0,8 и YKP3 = 0 6 соответственно. В результате, «сквозная» регулировочная характеристика ППН понижающего типа в диапазоне значений нормированной постоянной времени 0 т 0,5 приобретает кусочно-изломный вид и подвергается существенной деформации в точке у = укр.

Точность регулирования снижается поскольку на различных участках диапазона регулирования одинаковый шаг изменения Ау вызывает существенно различающиеся изменения выходного напряжения. Поэтому задача формирования необходимой передаточной характеристики весьма актуальна для ППН понижающего типа.

Методика логико-алгебраического моделирования импульсных последовательностей

Аппарат базовых бинарных операций ИАВ-операций (2.6), (2.7) позволяет при соответствующем отождествлении предметных и предикатных переменных формализовать на основе многократной предметной суперпозиции описание, как одиночных импульсов, так и разнообразных импульсных последовательностей - периодических и непериодических, однополярньтх и двухполярных, с одинаковой и различной амплитудой отдельных импульсов в виде ИАВ-функций. Графическое изображение одиночного прямоугольного импульса с «пьедесталами» (а), двух прямоугольных импульсов с «пьедесталами» (б), произвольной непериодической последовательности прямоугольных импульсов (в) с указанием предметных и предикатных переменных представлено на рис. 2.1. Аналитическое описание одиночного прямоугольного импульса с «пьедесталами» (рис. 2.1 а) в формализе ИАВ-операций осуществляется следующим образом.

При изменении предикатной переменной х от меньших значений к большим на границе х = xt формируется фронт прямоугольного импульса путем альтернативного выбора либо предметной переменной у, при х х,, либо предметной переменной у2 при х Xj. Формально такой выбор описывается бинарной ИАВ-операцией где х, - предикатная константа; х - предикатная переменная, область определения которой в общем случае составляет {-со,оо}; у, - величина «пьедестала»; у2 - амплитуда прямоугольного импульса. На границе х = х2 формируется срез прямоугольного импульса путем альтернативного выбора либо предметной переменной V(y,,y2) при х х2, либо Уз при х х2. Формально такой выбор описывается суперпозиционной предметной подстановкой где х2 - предикатная константа, причем х2 х,; у3 - величина «пьедестала» прямоугольного импульса. Учитывая, что при х, х2 произведение единичных функций из (2.20) получим ЛА-модель одиночного прямоугольного импульса с «пьедесталами» yj и у3. В частном случае, при yj = у3 = 0 из (2.21) вытекает ЛА-модель одиночного прямоугольного импульса без «пьедесталов». Необходимо отметить, что ЛА-модель (2.21) универсальна и описывает множество разнообразных по форме одиночных импульсов, которые можно получить заданием величины и знака предметных переменных. В таблице 2.1 представлены некоторые частные ЛА-модели, вытекающие из (2.21) и соответствующие им разновидности прямоугольных импульсов, которые могут быть использованы для управления силовыми полупроводниковыми ключами (СПК) различного типа. Таким образом, формирование одиночного импульса любой физической природы — напряжения, тока или мощности сводится к последовательному выполнению двух бинарных ИАВ-операций, когда результат предыдущей служит одной из предметных переменных для последующей, что соответствует однократной предметной суперпозиции. В процессе выполнения ИАВ-операций изменяющаяся предикатная переменная х сравнивается с предикатными константами хт и х2, значения которых определяют «ширину» Ах = (х2 - х,) импульса и его положение в области допустимых значений предикатных переменных. Предметные переменные (у,,у2,у3) определяют форму, величину и полярность одиночного импульса.

Процедура синтеза ЛА-модели двух прямоугольных импульсов (рис. 2.1 6) заключается в многократном повторении суперпозиционных подстановок при описании бинарной ИАВ-операцией каждого перехода У(у1:,.--5у,)- У,+і на границе х = х,. В результате каждая текущая ИАВ-операция учитывает все предшествующие изменения предметных переменных. Альтернативный выбор предметных переменных на границах х = х, и х = х2 описывается ИАВ-функцйями (2.19) и (2.21) соответственно. Формальное описание альтернативного выбора предметных переменных на границе х = х3 можно получить в результате суперпозиционной подстановки (2.21) в бинарную ИАВ-операцию сохраняющих справедливость при X! х2 х3. Суперпозиционная подстановка (2.22) для описания перехода на границе х = х, позволяет получить ЛА-модель последовательности из двух прямоугольных импульсов с ненулевыми паузами и «пьедесталами» у, и у5. Продолжение процедуры суперпозиционных подстановок позволяет формировать ЛА-модель произвольной непериодической последовательности прямоугольных импульсов (рис. 2.1 в), теоретически с неограниченным количеством импульсов. Анализ (2.24) позволяет отметить, что для формирования ЛА модели ограниченной последовательности, содержащей к прямоугольных импульсов, необходимо выполнить (2-к) бинарных ИАВ-операций с (2 к -1) суперпозиционными подстановками. Необходимо также отметить, что ЛА-модель (2.24) обладает достаточной общностью и может использоваться для амплитудно-ступенчатой аппроксимации произвольной непрерывной функции. В частном случае, при выполнении следующих условий и выборе начала отсчета х = 0, универсальная ЛА-модель (2.24) может быть преобразована в ЛА-модель однофазной периодической последовательности однополярных прямоугольных импульсов (рис. 2.2 а). Последние наиболее часто используются для управления СПК и имеют поэтому наибольшую практическую значимость. Если допустить, что универсальная ЛА-модель (2.24) трансформируется в периодической последовательности двухполярных прямоугольных импульсов (рис. 2.2 б). Последние используются для повышения помехоустойчивости СПК, функционирующих в условиях интенсивных электромагнитных помех. При необходимости на основе (2,25), (2.26) можно получить ЛА-модель парафазной и многофазной последовательности прямоугольных импульсов.

Имитационная модель реляторного широтно-импульсного модулятора в стандарте spice

Процедура синтеза реляторной схемотехники полностью формализована и базируется на логико-алгебраическом аппарате импликативной алгебры выбора. Для количественной оценки характеристик реляторных систем необходим учет реальных параметров электронных компонентов отдельного релятора, который возможен с помощью средств схемотехнического моделирования [95]. В данном разделе синтезируется имитационная модель одноканального релятора, функционирующего в режиме широтно-импульсного модулятора и драйвера мощных МОП-транзисторов [69].

Наиболее известным алгоритмом моделирования аналоговых электронных устройств является, разработанный в конце 70-х годов прошлого столетия в университете Беркли (Калифорния), алгоритм SPICE (Simulation Program with Integrated Circuit Emphasis) [116]. На его основе создан ряд коммерческих программ: HSPICE (фирмы MetaSoftware), PSpice (MicroSim), IS SPICE (Intusoft), Micro-Cap (Spectrum Software), Analog Workbench (Cadence), Saber (Analogy), Dr. Spice и ViewSpice (Deutsch Research). Модели аналоговых компонентов, выполненные в стандарте SPICE, позволяют учитывать практически все необходимые параметры, включая «паразитные», а также обмениваться библиотеками математических моделей с другими аналогичными- программами. Указанные обстоятельства предопределяют целесообразность моделирования одноканального релятора именно в этом стандарте (рис. 3.9).

Дополнительным условием является наличие библиотек SPICE-моделей необходимых электронных компонентов, к которым фирмы-изготовители- предоставляют разработчикам-схемотехникам, как правило, свободный доступ [116]. Однако это не исключает необходимости внесения корректировок в готовые SPICE-модели или разработку новых SPICE-моделей с учетом специфики решаемых задач. импульсного модулятора

Предъявленным выше требованиям (см. раздел 3.2) достаточно полно соответствует компаратор LM311 фирмы Texas Instruments, упрощенная макромодель которого представлена на рис. 3.10. Упрощение заключается в замене отдельных подсистем интегральной микросхемы эквивалентными источниками тока и напряжения, которая практически не отражается на адекватности рассматриваемой модели, позволяя существенно экономить машинные ресурсы.

Описание макромодели начинается с инструкции .SUBCKT и заканчивается инструкцией .ENDS. Между этими инструкциями располагаются инструкции, описывающие элементы подсхемы.

Требуемые быстродействие и нагрузочная способность переключательного канала обеспечивается комплементарной парой МОП-транзисторов фирмы Zetex Semiconductor - ZVN3306A и ZVP3306A, схемы замещения которых представлены на рис. 3.11. Как видно из рис. 3.11, SPICE-модели МОП-транзисторов практически одинаковы, поэтому ниже приводится только описание ZVN3306A:

С помощью инструкции .MODEL описываются параметры модели МОП-транзистора и диода. Параметры транзистора: VTO - пороговое напряжение при нулевом смещении, RS — объемное сопротивление области истока, RD - объемное сопротивление области стока, IS — ток насыщения р-п перехода затвор-канал, КР — коэффициент пропорциональности (А/В ), показана схема проверки SPICE-модели одноканального релятора в режиме ШИМ-контроллера мощного МОП-транзистора. На предикатный вход xl подается напряжение пилообразной формы с амплитудой 10 В и частотой 500 кГц, на предикатный вход х2 подается сигнал управления 3 В, предметные входы yl и у2 подключены к источникам постоянного напряжения ±10 В,, определяющих амплитуды выходного ШИМ-сигнала, питающее напряжение составляет ± 12 В, в качестве нагрузки включен конденсатор емкостью 5 нФ, который имитирует входную емкость мощного МОП-транзистора.

Выбор арности логико-алгебраических моделей функциональной развертки опорного сигнала

Согласно (2.44) интервал следования Ат дискретных отсчетов S{ (і Ат) И соответственно согласно (2.57) арность п многоместных ИАВ-операций в ЛА-моделях (2.68), (2.69) определяются максимальной частотой спектра функциональной развертки опорного сигнала. В этой связи для обоснованного выбора величин Ах или п необходимо определить граничную частоту сос или число N удерживаемых гармоник спектра функциональной развертки опорного сигнала. С учетом т = t/T и соответственно t = 2тст возможно разложение функциональной развертки опорного сигнала в тригонометрический ряд Фурье коэффициенты которого вычисляются по формулам Определение «практической» ширины спектра разложения (4.1) может проводиться по различным критериям. Например, можно удерживать только гармоники с амплитудой более 1%. Однако в этом случае возникает необходимость решения дополнительной задачи оценки ошибки усечения тригонометрического ряда (4.1). В этой связи более целесообразным представляется использование энергетического критерия для определения числа удерживаемых гармоник. Энергетические свойства тригонометрического ряда Фурье характеризуются равенством Парсеваля левая часть которого определяется с точностью до множителя (1/2) величиной эффективного (действующего) значения функциональной развертки опорного сигнала Для усеченного тригонометрического ряда Фурье, в котором удерживается только N гармоник, равенство

Парсеваля трансформируется в неравенство Бесселя которое с учетом (4.4.) можно записать в более компактной форме где &ПэФФ — л/Рп(с) + n(s)J/2 - эффективное значение п-й гармоники функциональной развертки опорного сигнала; S0cp = S0 /2 - среднее значение функциональной развертки опорного сигнала. В (4.6) равенство возможно либо при N —» оо, либо в том случае, когда все гармоники функциональной развертки опорного сигнала, начиная с (ТМ+1)-й, равны нулю. Во всех других случаях при выделении отрезка тригонометрического ряда (4.1) от п=1 до n=N с помощью соотношения (4.6) можно оценить суммарную энергию 5N+1 всех отбрасываемых, начиная с (N+l)-fi, гармоник функциональной развертки опорного сигнала Учитывая, что величина коэффициентов (4.2) тригонометрического ряда (4.1) функциональной развертки опорного сигнала S0(x) зависит только от п, равенство (4.7), введя обозначение Уравнение (4.9) позволяет по допускаемой величине суммарной энергии 5N+, всех отбрасываемых гармоник определить необходимое количество N удерживаемых гармоник. Например, для линейной развертки опорного сигнала вида (2.34) справедливо разложение в тригонометрический ряд (s0т = і) которое позволяет определить Аналогичным образом определяется количество удерживаемых гармоник и соответственно граничная частота спектра любой из функциональных разверток опорного сигнала, приведенных в таблицах 4.2 4.6. Однако для этого требуются достаточно громоздкие вычисления, связанные с определение коэффициентов (4.2) тригонометрического ряда функциональной развертки. Поэтому в общем случае возможно только численное решение уравнения (4.9) после выполнения ряда итерационных процедур.

В этой связи практически невозможно оценить степень влияния параметров регулировочной характеристики ШИП на спектр функциональной развертки опорного сигнала. Альтернативный подход, позволяющий избежать указанных трудностей, связан с непосредственным определением интервала OL следования дискретных отсчетов при амплитудно-ступенчатой аппроксимации функциональной развертки опорного сигнала (рис.2.6). Как видно, при Ах«Т и AS0«S0m (здесь S0m - амплитуда функциональной развертки опорного сигнала) можно записать где AS0 - максимальная разница между кривой функциональной развертки опорного сигнала и ее амплитудно-ступенчатой аппроксимацией; S0(k Дт)-первая производная функциональной развертки опорного сигнала в момент определения k-го дискретного отсчета. Задавая точность (AS0) амплитудно-ступенчатой аппроксимации и определяя предварительно максимальное значение первой производной S0max(xm) функциональной развертки опорного сигнала можно определить допустимую величину интервала Ах (и соответственно арность ЛА-моделей (2.68,2.69) функциональной развертки опорного сигнала) Как видно из (4.13), для оценки величины Ат необязательно знать спектр функциональной развертки опорного сигнала, достаточно дважды продифференцировать соответствующее уравнение функциональной развертки (см.таблицы 4.2 4.6), причем вторая производная по условию о(хт) = 0 позволяет определить координату тт максимума первой производной S0max(tm). Линеаризация передаточной характеристики преобразователя постоянного напряжения повышающего типа. Регулировочная характеристика ППН повышающего типа существенно нелинейна, неоднозначна и описывается системой уравнений (1.7) -(1.9). Если ставится задача линеаризации передаточной характеристики «информационный вход -силовой выход», то между выходным напряжением UgbIX и сигналом Sy необходимо обеспечить пропорциональную зависимость где Кп - коэффициент передачи ППН по напряжению. Преобразование уравнений регулировочной (1.7) или (1.8) и передаточной (4.14) характеристик в уравнение функциональной развертки опорного сигнала осуществляется в соответствии с методикой, представленной в разделах 1.3 и 4.2. Результат преобразования представлен в таблице 4.2. Как видно, опорный сигнал на периоде ШИМ необходимо разворачивать по закону, определяемому видом регулировочной характеристики ППН, но с размахом в Кп раз меньше диапазона регулирования выходного напряжения. Следует также обратить внимание на необходимость ограничения амплитуды опорного сигнала, обусловленную свойствами ППН, выходное напряжение которого может достигать бесконечно большой величины при у —» 1, г, = ЇС = 0 или значений, определяемых соотношениями (1.16), (1.17) при г г О.

Похожие диссертации на Разработка и исследование методов коммутационно-логического управления передаточными характеристиками широтно-импульсных преобразователей